2nm

TSMC has entered into a preliminary agreement with the U.S. Department of Commerce, securing up to $6.6 billion in direct funding and access to up to $5 billion in loans under the CHIPS and Science Act. With this latest round of support from the U.S. government, TSMC in turn will be adding a third fab to their Arizona project, with its investment in the region soaring to more than $65 billion. This move not only signifies the largest foreign direct investment in Arizona but also marks one of the biggest support packages that the U.S. government plans to make under the CHIPS Act, second only to Intel's $8.5 billion award last month. TSMC is currently equipping its Fab 21 phase 1 and expects that it...

Rapidus to Get $3.9 Billion in Government Aid for 2nm, Multi-Chiplet Technologies

Rapidus, a Japan-based company developing 2nm process technology and aiming to commercialize it in 2027, will receive a huge government grant for its ongoing projects. The Japanese government will...

9 by Anton Shilov on 4/2/2024

Marvell's 2nm IP Platform Enables Custom Silicon for Datacenters

Marvell this week introduced its new IP technology platform specifically tailored for custom chips for accelerated infrastructure made on TSMC's 2nm-class process technologies (possibly including N2 and N2P). The...

0 by Anton Shilov on 3/8/2024

Tenstorrent Licenses RISC-V CPU IP to Build 2nm AI Accelerator for Edge

Tenstorrent this week announced that it had signed a deal to license out its RISC-V CPU and AI processor IP to Japan's Leading-edge Semiconductor Technology Center (LSTC), which will...

22 by Anton Shilov on 2/28/2024

Arm and Samsung to Co-Develop 2nm GAA-Optimized Cortex Cores

Arm and Samsung this week announced their joint design-technology co-optimization (DTCO) program for Arm's next-generation Cortex general-purpose CPU cores as well as Samsung's next-generation process technology featuring gate-all-around (GAA...

3 by Anton Shilov on 2/22/2024

TSMC 2nm Update: Two Fabs in Construction, One Awaiting Government Approval

When Taiwan Semiconductor Manufacturing Co. (TSMC) is prepping to roll out an all-new process technology, it usually builds a new fab to meet demand of its alpha customers and...

38 by Anton Shilov on 1/19/2024

TSMC: Ecosystem for 2nm Chip Development Is Nearing Completion

Speaking to partners last week as part of their annual Open Innovation Platform forum in Europe, a big portion of TSMC's roadshow was dedicated to the next generation of...

20 by Anton Shilov on 10/12/2023

TSMC: Importance of Open Innovation Platform Is Growing, Collaboration Needed for Next-Gen Chips

This year TSMC is commemorating 15 years of its Open Innovation Platform, a multi-faceted program that brings together the foundry's suppliers, partners, and customers to help TSMC's customers better...

4 by Anton Shilov on 10/12/2023

Rapidus Wants to Supply 2nm Chips to Tech Giants, Challenge TSMC

It has been a couple of decades since a Japanese fab has offered a leading-edge chip manufacturing process. Even to this day, none of the Japanese chipmakers have made...

19 by Anton Shilov on 7/26/2023

Samsung Updates Foundry Roadmap: 2nm in 2025, 1.4nm in 2027

Samsung Foundry revealed its latest process technology roadmap today at its annual Samsung Foundry Forum (SFF) 2023. The company's SF2 (2 nm-class) production node is on track for 2025...

4 by Anton Shilov on 6/27/2023

Intel to Spend Tens of Billions on New Fabs in Germany and Israel

Intel is spending tens of billions of dollars on new fabs in Arizona and Oregon, but the company's ambitions certainly do not end in the U.S. This month the...

28 by Anton Shilov on 6/21/2023

TSMC Shares More Info on 2nm: New MIM Capacitor and Backside PDN Detailed

TSMC has revealed some additional details about its upcoming N2 and N2P process technology at its European Technology Symposium 2023. Both production nodes are being developed with high-performance computing...

15 by Anton Shilov on 5/31/2023

Samsung Foundry Vows to Surpass TSMC Within Five Years

The head of Samsung's semiconductor unit acknowledged last week that the company's current mass production, leading-edge process technologies are a couple of years behind TSMC's most advanced production nodes...

18 by Anton Shilov on 5/8/2023

TSMC Outlines 2nm Plans: N2P Brings Backside Power Delivery in 2026, N2X Added To Roadmap

At its 2023 North American Technology Symposium today, TSMC has disclosed additional details about its plans for its forthcoming N2 2nm-class production nodes in 2025 – 2026 and beyond...

38 by Anton Shilov on 4/26/2023

GlobalFoundries Sues IBM Over Sharing Leading-Edge Chip IP with Intel, Rapidus

The relationship between GlobalFoundries and IBM has been rocky in recent years. Among other things, Big Blue has previously sued GF, seeking damages for abruptly stopping the development of...

10 by Anton Shilov on 4/20/2023

Synopsys Intros AI-Powered EDA Suite to Accelerate Chip Design and Cut Costs

Synopsys has introduced the industry's first full-stack AI-powered suite of electronic design automation tools that covers all stages of chip design, from architecture to design and implementation to manufacturing...

12 by Anton Shilov on 3/30/2023

NVIDIA's cuLitho to Speed Up Computational Lithography for 2nm and Beyond

Production of chips using leading-edge process technologies requires more compute power than ever. To address requirements of 2nm nodes and beyond, NVIDIA is rolling out its cuLitho software library...

31 by Anton Shilov on 3/27/2023

Samsung Foundry Outlines Roadmap Through 2027: 1.4 nm Node, 3x More Capacity

Samsung outlined its foundry business roadmap for the next five years at its Foundry Forum event last week. The company plans to introduce its next generation fabrication technologies in...

14 by Anton Shilov on 10/10/2022

Samsung's $15 Billion R&D Complex to Overcome Limits of Semiconductor Scaling

Samsung on Friday broke ground for a new semiconductor research and development complex which will design new fabrication processes for memory and logic, as well as conduct fundamental research...

26 by Anton Shilov on 8/19/2022

TSMC: N2 To Start With Just GAAFETs, Add Backside Power Delivery Later

When TSMC initially introduced its N2 (2 nm class) process technology earlier this month, the company outlined how the new node would be built on the back of two...

16 by Anton Shilov on 6/29/2022

Log in

Don't have an account? Sign up now